我要投稿 投诉建议

eda实训心得体会

时间:2024-06-20 07:00:09 心得体会 我要投稿
  • 相关推荐

eda实训心得体会

  我们从一些事情上得到感悟后,可以通过写心得体会的方式将其记录下来,这样能够让人头脑更加清醒,目标更加明确。应该怎么写才合适呢?以下是小编为大家收集的eda实训心得体会,欢迎阅读与收藏。

eda实训心得体会

eda实训心得体会1

  大三时候开始了专业课的学习,其中eda就是要学的一门专业课,课程刚开始的时候,对eda技术很陌生,也感到很茫然,也非常没有信心,当接触到可编程器件的时候,看到大家同样感到很迷惘。首先,通过对这门课程相关理论的学习,我掌握了eda的一些基本的的知识,现代电子产品的性能越来越高,复杂度越来越大,更新步伐也越来越快。实现这种进步的主要原因就是微电子技术和电子技术的发展。前者以微细加工技术为代表,目前已进入超深亚微米阶段,可以在几平方厘米的芯片上集成几千万个晶体管;后者的核心就是电子设计自动化eda(electronic design automatic)技术,由于本门课程是一门硬件学习课程,所以实验必不可少。通过课程最后实验,我体会一些vhdl语言相对于其他编程语言的特点。

  在接触vhdl语言之前,我已经学习了c语言,汇编语言,而相对于这些语言的学习,vhdl 具有明显的特点。这不仅仅是由于vhdl 作为一种硬件描述语言的学习需要了解较多的数字逻辑方面的硬件电路知识,包括目标芯片基本结构方面的知识更重要的是由于vhdl 描述的对象始终是客观的电路系统。由于电路系统内部的子系统乃至部分元器件的'工作状态和工作方式可以是相互独立、互不相关的,也可以是互为因果的。这表明,在任一时刻,电路系统可以有许多相关和不相关的事件同时并行发生。因此,任何复杂的程序在一个单cpu 的计算机中的运行,永远是单向和一维的。因而程序设计者也几乎只需以一维的思维模式就可以编程和工作了。

  在学习的过程中,我深深体会到,学习不单单要将理论知识学扎实了,更重要的是实际动手操作能力,学完了课本知识,我并没有觉得自己有多大的提高,感觉学到的很没用,我们现在学到的还很少,只是编写一些简单的程序。相反的,每次做完实验之后,都会感觉自己收获不少,每次都会有问题,因此,我认为在老师今后的教学当中,应当更加注重动手实验,把理论与实践很好的结合起来,才能使同学融会贯通。

eda实训心得体会2

  合肥学院电子系 09级电子信息工程,姓名:李金山学号:0905075006 摘要:经过两周的EDA实训,我也基本掌握了这个软件的使用方法,也体会到了这款软件的实用性。我也通过练习,熟练地掌握了一些画图技巧,下面我模仿练习的一款时钟电路,通过绘制及制作时钟电路,通过绘制时钟电路的原理图,制作PCB板,布线等,我也发现了自己的一些不足,有了更深的体会。 一、 电路原理图及元器件库设计 1.原理图设计

  电路原理图的设计主要是protel 99 se的原理图设计系统(Advanced Schematic)来绘制一张电路原理图。在这一过程中,要充分利用protel 99 se所提供的'各种原理图绘图工具、各种编辑功能,来实现我们的目的,即得到一张正确、精美的电路原理图。

  绘制简单电路原理图过程:首先,构思好零件图,设计好图纸大小,设置合适的图纸大小;然后,设置protel 99 se/Schematic设计环境;再者,放置零件,并对放置零件的序号、零件封装进行定义和设定等工作;然后,将图纸上的元件用具有电气意义的导线、符号连接起来,构成一个完整的原理图;然后,根据需要调整电路;再者,创建网络表;最后,加载网络表。

  例如如下时钟电路原理图:

  当然,这还不算完整,然后对已经完成的电路原理图,进行电气规则测试,找出错误原因,并改正。生成网络表和元器件材料清单。

  电气规则测试:

  分析检查报告内容,修改错误。

  时钟电路网络表

  2.元器件库设计

  在绘制电路原理图时,难免会遇到元器件库中没有的元器件,这时,我们需要用绘图工具,学会绘制元器件。我在绘制时钟电路原理图时,也曾遇到过这种情况,所以这就需要我们自己绘制自己所需的元器件图。

  如:

  我们可以根据所需建立一个自己的元器件库,当我们需要时,就可添加进去,直接使用即可。

  二、 PCB板图及封装库设计

  用印制电路板编辑器,设置工作层面和电路板画图环境,用Protel 99SE设计印刷电路板过程如下:1.启动印刷电路板设计服务器;2.规划电路板;3. 设置参数;4装入元件封装库;5..装入网络表;6. 元器件布局;7. 自动布线。

  电路板尺寸规划:

  加载网络表:

  当制作电路板时,发现尺寸不合适时,要加以修正,然后,在进行下一步。调整之后,自动布线后生成PCB板图如下:

  印制电路板3D效果显示如下:

eda实训心得体会3

  首先,通过对这门课程相关理论的学习,我掌握了eda的一些基本的的知识,现代电子产品的性能越来越高,复杂度越来越大,更新步伐也越来越快。实现这种进步的主要原因就是微电子技术和电子技术的发展。前者以微细加工技术为代表,目前已进入超深亚微米阶段,可以在几平方厘米的芯片上集成几千万个晶体管;后者的核心就是电子设计自动化eda(electronic design automatic)技术。eda是指以计算机为工作平台,融合了应用电子技术、计算机技术、智能化技术的最新成果而开发出的电子cad通用软件包,它根据硬件描述语言hdl完成的设计文件,自动完成逻辑编译、化简、分割、综合、优化、布局布线及仿真,直至完成对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

  eda技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。

  其次,通过对课程的实验的学习,我对eda的学习和理解有了更深刻的认识和体会。我们团队共四个人,做的是两层电梯控制器,作为这个实验的一员与负责人,我感到很有压力。因为只对课本知识的学习,我对实验做成功的把握不是很大。因为我们是机械专业,学习电的知识也主要是通过大二学的《电工学》,因此只能对数字逻辑与数字电路有初步的了解,而eda是在数字电路发展到一定阶段的产物,因此学习起来也很费力。

  然而,在我们团队的共同努力下,我们最终成功地完成了这个实验,包括时序仿真和硬件测试仿真,都取得了非常成功地效果。

  在上实验课的时候,那个周六下午,整个实验室只有那寥寥几人,我很庆幸我是其中的一人,因为在那里我学习到了很多,我完成了上次实验没有完成的扫描显示的实验,也完成了步进电机控制器的实验,还在老师的指导下完成了梁祝音乐演示实验,最后在晚上我也去了实验室,和我们团队成员开始进行两层电梯控制器的设计,通过一个晚上的努力,我们最终把它给调试了出来。

  通过实验,我激发了eda学习的兴趣,也对这门课程有了更深的理解,对eda设计软件quarter ⅱ的使用也更加熟练。老师给我们的材料中,用的是gal器件,我们最终用的是fpga器件,也就是ep1k10tc100—3芯片,我们分析了电梯在整个运行过程中的状态,并参考资料写出了状态图,然后根据状态图用有限状态机来实现了各个状态之间的转换,进而实现了对电梯的控制。

  在设计过程中,我们遇到了很多困难,尤其是在电梯开门于关门那个自动控制方面,起初我想用一个延迟信号赋值语句解决这个问题,但是由于这个延迟在综合器里面不能得到体现,综合器在综合是会忽略after之后的延迟,因此我该用了一个计数器溢出的底层元件。通过元件例化语句实现在顶层文件中对其的调用。

  在完成vhdl的编辑以后,进行编译,结果出现了很多错误,在我们细心的检查和排

  对这门课程的最大收获除了学习到了知识以外,更重要的是让我明白了一个道理:只要全身心的`投入到一件事中,并且要有持之以恒的决心,就一定会有收获。有的人觉得自己做不出来,就网上搜一个了事,但是,放弃一次黑暗中摸索的经历,就放弃了一次成长的机会!如果你付出了,没有收获。那只能说,是付出的还不够多。

  我想我对eda的学习只能算是个入门,这个领域的发展空间非常大,应用范围也非常广泛,而且我相信在将来还会有更加广阔的应用前景。因此在以后的学习过程中,我不能因为课程学习的结束而结束了我对这个领域的探索,相反我会更加努力的去学习它。感谢老师孜孜不倦的教诲,让我不仅学到了知识,也学到了做人做事的一些道理,为我提供了很多帮助。在接下来的学习生涯中,我会继续努力,努力扎实地学习专业知识,实现自己的理想。

eda实训心得体会4

  本学期末我们进行了EDA实训,我们组做的是四路智能抢答器,不过本次实训与以往最大的不同是在熟练并掌握Verilog硬件描述语言的基础上,运用Quartus软件,对其进行波形以及功能的仿真。我们组抢答器的设计要求是:可容纳四组参赛者,每组设置一个抢答按钮供抢答者使用,电路具有第一抢答信号的鉴别和锁存功能,

  系统具有计分、倒计时和倒计时锁存等电路,输入信号有:各组的抢答按钮A、B、C、D,系统清零信号CLR,系统时钟信号CLK,计分复位端RST,加分按钮端ADD,计时预置控制端LDN,计时使能端EN,计时预置数据调整按钮可以用如TA、TB表示;系统的输出信号有:四个组抢答成功与否的指示灯控制信号输出口可用如LEDA、LEDB、LEDC、LEDD表示,四个组抢答时的计时数码显示控制信号若干,抢答成功组别显示的控制信号若干,各组计分动态显示的控制信号若干。整个系统至少有三个主要模块:抢答鉴别模块、抢答计时模块、抢答计分模块。

  实训的第一天我们组三个人就开始对抢答器的各部分源程序进行调试,由于刚开始对于quartus2软件用的不是很熟练,所以在第一天几乎上没有啥大的进展,一直都在改程序中的错误。在不停的重复的编译、改错。拿着EDA修改稿、资料书检查出错的地方,一边又一遍的.校对分析其中的错误。

  在实训中我们遇到了很多的问题。为了解决这些问题我和他们

  两个都在的想办法通过各种渠道寻找解决问题的方法。上网查资料、问同学、图书馆查资料、问老师、自己想办法,其实最有效的方法还是自己去想那样学到的东西才会更加的深刻记得时间也是最长的,他人的帮助当然是很好的,但只是暂时的要想真正的学到东西还是要靠自己去想办法。不能一有问题就希望要他人帮忙,一定自己先好好想想实在解决不了的再去问老师找同学。

  由于在一开始的时候对quartus2软件的不熟悉耽误了很多的时间,在接下来的几天里遇到了不少的问题。刚开始的时候是源程序中的错误一直在那改,好不容易几个模块中的错误都一个个排除了,但当把他们放到一起时问题就又出现了。于是又开始了检查修改,可是弄了好长时间也没有弄明白,最后找了一个在实验室的同学说是顶层文件有问题。于是晚上又找了些关于顶层文件资料还有课本上的例子。最后对步骤已经有了很熟练的掌握,很快就完成了程序编译、仿真、下载到最后的调试。

  “纸上谈来终觉浅,绝知此事要躬行。”在这短暂的两周实训中深深的感觉到了自己要学的东西实在是太多了,自己知道的是多么的有限,由于自身专业知识的欠缺导致了这次实训不是进行的很顺利,通过这次实训暴露了我们自身的诸多的不足之处,我们会引以为鉴,在以后的生活中更应该努力的学习。

  虽然实训仅仅进行了两个星期就匆匆的结束了,但在这两个星期中收获还是很多的。实训的目的是要把学过的东西拿出来用这一个星期的实训中不仅用了而且对于quartus2软件的使用也更加的得心应手,这次实训提高了我们的动手能力、理论联系实际的能力、发现问题分析问题解决问题的能力。实训只要你认真做了都是对自己能力一次很大的提高。

  本次设计过程中得到我们老师的悉心指导。瓮老师多次询问设计进程,并为我们指点迷津,帮助我们理顺设计思路,精心点拨,时刻在帮助着我们去提高自己。瓮老师一丝不苟的作风,严谨求实的态度,踏踏实实的精神,不仅是我学习的楷模,并将积极影响我今后的学习和工作。在此诚挚地向瓮老师致谢。

eda实训心得体会5

  课程刚开始的时候,对eda技术很陌生,也感到很茫然,也非常没有信心,当接触到可编程器件的时候,看到大家同样感到很迷惘。或许,在学习eda的时候,我应该比别人更有些优势,在双学位计算机的课程里我已经学过《数字逻辑》,而eda的一些内容也是和《数字逻辑》直接相关联的。

  通过一学期的努力学习,查阅了一些相关技术的书籍,书中通过大量的图示对pld硬件特性与编程技术进行了形象的讲解,不仅融合了之前学习的关于电路设计的知识还将eda的技术加入其中。对vhdl语言的详尽讲解更是让我深刻理解了vhdl语言的编程原理。由于本门课程是一门硬件学习课程,所以实验必不可少。通过课程最后实验,我体会一些vhdl语言相对于其他编程语言的特点。

  在接触vhdl语言之前,我已经学习了c语言,汇编语言,而相对于这些语言的学习,vhdl 具有明显的特点。这不仅仅是由于vhdl 作为一种硬件描述语言的学习需要了解较多的数字逻辑方面的硬件电路知识,包括目标芯片基本结构方面的知识更重要的'是由于vhdl 描述的对象始终是客观的电路系统。由于电路系统内部的子系统乃至部分元器件的工作状态和工作方式可以是相互独立、互不相关的,也可以是互为因果的。这表明,在任一时刻,电路系统可以有许多相关和不相关的事件同时并行发生。例如可以在多个独立的模块中同时入行不同方式的数据交换和控制信号传输,这种并行工作方式是任何一种基于cpu 的软件程序语言所无法描绘和实现的。传统的软件编程语言只能根据cpu 的工作方式,以排队式指令的形式来对特定的事件和信息进行控制或接收。在cpu 工作的任一时间段内只能完成一种操作。因此,任何复杂的程序在一个单cpu 的计算机中的运行,永远是单向和一维的。因而程序设计者也几乎只需以一维的思维模式就可以编程和工作了。

  在学习的过程中,我深深体会到,学习不单单要将理论知识学扎实了,更重要的是实际动手操作能力,学完了课本知识,我并没有觉得自己有多大的提高,相反的,每次做完实验之后,都会感觉自己收获不少,因此,我认为在老师今后的教学当中,应当更加注重动手实验,把理论与实践很好的结合起来,才能使同学融会贯通。

【eda实训心得体会】相关文章:

钳工实训实训报告01-31

药厂实训感想药厂实训心得体会范文04-23

实训的心得体会12-15

实训心得体会(精选)08-12

实训心得体会(经典)08-21

【经典】实训心得体会10-28

实训心得体会06-19

实训心得体会(精选)07-24

实训心得体会(经典)08-14